A Novel FPGA-Based Architecture for Fast Automatic Target Detection in Hyperspectral Images

dc.contributor.authorLei, Jie
dc.contributor.authorWu, Lingyun
dc.contributor.authorLi, Yunsong
dc.contributor.authorXie, Weiying
dc.contributor.authorChang, Chein-I
dc.contributor.authorZhang, Jintao
dc.contributor.authorHuang, Biying
dc.date.accessioned2019-02-07T16:56:55Z
dc.date.available2019-02-07T16:56:55Z
dc.date.issued2019-01-14
dc.description.abstractOnboard target detection of hyperspectral imagery (HSI), considered as a significant remote sensing application, has gained increasing attention in the latest years. It usually requires processing huge volumes of HSI data in real-time under constraints of low computational complexity and high detection accuracy. Automatic target generation process based on an orthogonal subspace projector (ATGP-OSP) is a well-known automatic target detection algorithm, which is widely used owing to its competitive performance. However, ATGP-OSP has an issue to be deployed onboard in real-time target detection due to its iteratively calculating the inversion of growing matrices and increasing matrix multiplications. To resolve this dilemma, we propose a novel fast implementation of ATGP (Fast-ATGP) while maintaining target detection accuracy of ATGP-OSP. Fast-ATGP takes advantage of simple regular matrix add/multiply operations instead of increasingly complicated matrix inversions to update growing orthogonal projection operator matrices. Furthermore, the updated orthogonal projection operator matrix is replaced by a normalized vector to perform the inner-product operations with each pixel for finding a target per iteration. With these two major optimizations, the computational complexity of ATGP-OSP is substantially reduced. What is more, an FPGA-based implementation of the proposed Fast-ATGP using high-level synthesis (HLS) is developed. Specifically, an efficient architecture containing a bunch of pipelines being executed in parallel is further designed and evaluated on a Xilinx XC7VX690T FPGA. The experimental results demonstrate that our proposed FPGA-based Fast-ATGP is able to automatically detect multiple targets on a commonly used dataset (AVIRIS Cuprite Data) at a high-speed rate of 200 MHz with a significant speedup of nearly 34.3 times that of ATGP-OSP, while retaining nearly the same high detection accuracyen_US
dc.description.sponsorshipThis work was supported in part by the National Natural Science Foundation of China (Nos. 61801359, 61571345, 91538101, 61501346, 61502367 and 61701360) and the 111 project (B08038)). It was also partially supported by Yangtse Rive Scholar Bonus Schemes, Ten Thousand Talent Program, the Fundamental Research Funds for the Central Universities JB180104, the Natural Science Basic Research Plan in Shaanxi Province of China (Nos. 2016JQ6023, 2016JQ6018) and General Financial Grant from the China Postdoctoral Science Foundation (No. 2017M620440).en_US
dc.description.urihttps://www.mdpi.com/2072-4292/11/2/146en_US
dc.format.extent20 pagesen_US
dc.genrejournal articlesen_US
dc.identifierdoi:10.13016/m2jmw7-zfwf
dc.identifier.citationJie Lei , Lingyun Wu , Yunsong Li , Weiying Xie , Chein-I Chang, Jintao Zhang and Biying Huang, A Novel FPGA-Based Architecture for Fast Automatic Target Detection in Hyperspectral Images, Remote Sens. 2019, 11(2), 146; https://doi.org/10.3390/rs11020146en_US
dc.identifier.urihttps://doi.org/10.3390/rs11020146
dc.identifier.urihttp://hdl.handle.net/11603/12727
dc.language.isoen_USen_US
dc.publisherMDPIen_US
dc.relation.isAvailableAtThe University of Maryland, Baltimore County (UMBC)
dc.relation.ispartofUMBC Computer Science and Electrical Engineering Department Collection
dc.relation.ispartofUMBC Faculty Collection
dc.rightsThis item is likely protected under Title 17 of the U.S. Copyright Law. Unless on a Creative Commons license, for uses protected by Copyright Law, contact the copyright holder or the author.
dc.rightsAttribution 4.0 International (CC BY 4.0)*
dc.rights.urihttps://creativecommons.org/licenses/by/4.0/*
dc.subjecthyperspectral imageen_US
dc.subjectfast automatic target generation processen_US
dc.subjectfield-programmable gate arrayen_US
dc.subjecthigh-level synthesisen_US
dc.titleA Novel FPGA-Based Architecture for Fast Automatic Target Detection in Hyperspectral Imagesen_US
dc.typeTexten_US

Files

Original bundle
Now showing 1 - 1 of 1
Loading...
Thumbnail Image
Name:
remotesensing-11-00146-v2.pdf
Size:
1010.63 KB
Format:
Adobe Portable Document Format
Description:
License bundle
Now showing 1 - 1 of 1
No Thumbnail Available
Name:
license.txt
Size:
2.56 KB
Format:
Item-specific license agreed upon to submission
Description: