Lei, JieWu, LingyunLi, YunsongXie, WeiyingChang, Chein-IZhang, JintaoHuang, Biying2019-02-072019-02-072019-01-14Jie Lei , Lingyun Wu , Yunsong Li , Weiying Xie , Chein-I Chang, Jintao Zhang and Biying Huang, A Novel FPGA-Based Architecture for Fast Automatic Target Detection in Hyperspectral Images, Remote Sens. 2019, 11(2), 146; https://doi.org/10.3390/rs11020146https://doi.org/10.3390/rs11020146http://hdl.handle.net/11603/12727Onboard target detection of hyperspectral imagery (HSI), considered as a significant remote sensing application, has gained increasing attention in the latest years. It usually requires processing huge volumes of HSI data in real-time under constraints of low computational complexity and high detection accuracy. Automatic target generation process based on an orthogonal subspace projector (ATGP-OSP) is a well-known automatic target detection algorithm, which is widely used owing to its competitive performance. However, ATGP-OSP has an issue to be deployed onboard in real-time target detection due to its iteratively calculating the inversion of growing matrices and increasing matrix multiplications. To resolve this dilemma, we propose a novel fast implementation of ATGP (Fast-ATGP) while maintaining target detection accuracy of ATGP-OSP. Fast-ATGP takes advantage of simple regular matrix add/multiply operations instead of increasingly complicated matrix inversions to update growing orthogonal projection operator matrices. Furthermore, the updated orthogonal projection operator matrix is replaced by a normalized vector to perform the inner-product operations with each pixel for finding a target per iteration. With these two major optimizations, the computational complexity of ATGP-OSP is substantially reduced. What is more, an FPGA-based implementation of the proposed Fast-ATGP using high-level synthesis (HLS) is developed. Specifically, an efficient architecture containing a bunch of pipelines being executed in parallel is further designed and evaluated on a Xilinx XC7VX690T FPGA. The experimental results demonstrate that our proposed FPGA-based Fast-ATGP is able to automatically detect multiple targets on a commonly used dataset (AVIRIS Cuprite Data) at a high-speed rate of 200 MHz with a significant speedup of nearly 34.3 times that of ATGP-OSP, while retaining nearly the same high detection accuracy20 pagesen-USThis item is likely protected under Title 17 of the U.S. Copyright Law. Unless on a Creative Commons license, for uses protected by Copyright Law, contact the copyright holder or the author.Attribution 4.0 International (CC BY 4.0)hyperspectral imagefast automatic target generation processfield-programmable gate arrayhigh-level synthesisA Novel FPGA-Based Architecture for Fast Automatic Target Detection in Hyperspectral ImagesText